ইন্টেল-লোগো

লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইন এক্সample

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-PRODUCT

দ্রুত শুরু নির্দেশিকা

লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল® এফপিজিএ আইপি কোর একটি সিমুলেশন টেস্টবেঞ্চ এবং একটি হার্ডওয়্যার ডিজাইন প্রদান করেample যা সংকলন এবং হার্ডওয়্যার পরীক্ষা সমর্থন করে। আপনি ডিজাইন তৈরি করার সময় প্রাক্তনampলে, Intel Quartus® প্রাইম আইপি প্যারামিটার এডিটর স্বয়ংক্রিয়ভাবে তৈরি করে fileহার্ডওয়্যারে নকশা অনুকরণ, কম্পাইল এবং পরীক্ষা করার জন্য প্রয়োজনীয়। এছাড়াও, আপনি ইন্টারঅপারেটিভ পরীক্ষার জন্য ইন্টেল ডিভাইস-নির্দিষ্ট ডেভেলপমেন্ট কিটে সংকলিত হার্ডওয়্যার ডিজাইন ডাউনলোড করতে পারেন। ইন্টেল এফপিজিএ আইপিতে একটি সংকলন-শুধুমাত্র প্রাক্তন অন্তর্ভুক্ত রয়েছেample প্রকল্প যা আপনি দ্রুত আইপি কোর এলাকা এবং সময় অনুমান করতে ব্যবহার করতে পারেন। লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP ডিজাইন প্রাক্তন সমর্থন করেampবিস্তৃত পরামিতি সহ le প্রজন্ম। তবে ডিজাইনের প্রাক্তন ডampলস লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল এফপিজিএ আইপি কোরের সমস্ত সম্ভাব্য প্যারামিটারাইজেশন কভার করে না।

ডিজাইনের জন্য উন্নয়ন পদক্ষেপample

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-1

সম্পর্কিত তথ্য

  • লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP ব্যবহারকারী গাইড
    লো লেটেন্সি ই-টাইল 40G ইথারনেট আইপি সম্পর্কে বিস্তারিত তথ্যের জন্য।
  • কম লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA আইপি রিলিজ নোট
    IP রিলিজ নোট তালিকা একটি নির্দিষ্ট রিলিজে IP পরিবর্তন।
ডিজাইন তৈরি করা হচ্ছে প্রাক্তনample

পদ্ধতি

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-2

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। অন্যান্য নাম এবং ব্র্যান্ডগুলি অন্যের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

Exampলো লেটেন্সি ই-টাইল 40G ইথারনেট প্যারামিটার এডিটরে ডিজাইন ট্যাব
ডিজাইন এক্স জেনারেট করতে Stratix 10 TX ই-টাইল ট্রান্সসিভার সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট নির্বাচন করুনampLe Intel Stratix® 10 ডিভাইসের জন্য। ডিজাইন এক্স জেনারেট করতে Agilex F-series Transceiver-SoC ডেভেলপমেন্ট কিট নির্বাচন করুনampIntel Agilex™ ডিভাইসের জন্য le.

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-3

প্রাক্তন হার্ডওয়্যার ডিজাইন তৈরি করতে এই পদক্ষেপগুলি অনুসরণ করুনampলে এবং টেস্টবেঞ্চ:

  1. Intel Quartus Prime Pro Edition সফটওয়্যারে ক্লিক করুন File ➤ নতুন প্রজেক্ট উইজার্ড
    একটি নতুন ইন্টেল কোয়ার্টাস প্রাইম প্রকল্প তৈরি করতে, বা File ➤ একটি বিদ্যমান ইন্টেল কোয়ার্টাস প্রাইম সফ্টওয়্যার প্রকল্প খুলতে প্রকল্প খুলুন। উইজার্ড আপনাকে ডিভাইস পরিবার এবং ডিভাইস নির্দিষ্ট করতে অনুরোধ করে।
    দ্রষ্টব্য: নকশা প্রাক্তনample লক্ষ্য বোর্ডে ডিভাইসের সাথে নির্বাচনটি ওভাররাইট করে। আপনি ডিজাইন প্রাক্তন মেনু থেকে লক্ষ্য বোর্ড নির্দিষ্ট করুনampপ্রাক্তন মধ্যে le বিকল্পampলে ডিজাইন ট্যাব (ধাপ 8)।
  2. আইপি ক্যাটালগে, লো লেটেন্সি ই-টাইল 40জি ইথারনেট ইন্টেল এফপিজিএ আইপি সনাক্ত করুন এবং নির্বাচন করুন। নতুন আইপি ভেরিয়েশন উইন্ডো প্রদর্শিত হবে।
  3. আপনার কাস্টম আইপি বৈচিত্রের জন্য একটি শীর্ষ-স্তরের নাম উল্লেখ করুন। ইন্টেল কোয়ার্টাস প্রাইম আইপি প্যারামিটার এডিটর আইপি ভ্যারিয়েশন সেটিংস এ সংরক্ষণ করে file নাম .আইপি
  4. ওকে ক্লিক করুন। আইপি পরামিতি সম্পাদক উপস্থিত হয়।
  5. আইপি ট্যাবে, আপনার আইপি কোর বৈচিত্রের জন্য পরামিতিগুলি নির্দিষ্ট করুন৷
    দ্রষ্টব্য: লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP ডিজাইন প্রাক্তনample সঠিকভাবে অনুকরণ করে না এবং সঠিকভাবে কাজ করে না যদি আপনি নিম্নলিখিত পরামিতিগুলির মধ্যে একটি নির্দিষ্ট করেন:
    1. প্রস্তাবনা পাস-থ্রু চালু করুন
    2. প্রস্তুত লেটেন্সি 3 এর মান সেট করা হয়েছে৷
    3. TX CRC সন্নিবেশ সক্ষম করুন বন্ধ
  6. প্রাক্তন উপরampলে ডিজাইন ট্যাব, প্রাক্তন অধীনেampলে ডিজাইন Files, টেস্টবেঞ্চ তৈরি করতে সিমুলেশন বিকল্পটি সক্ষম করুন, এবং শুধুমাত্র-সংকলন এবং হার্ডওয়্যার ডিজাইন তৈরি করতে সংশ্লেষণ বিকল্পটি নির্বাচন করুনampলেস
    দ্রষ্টব্য: প্রাক্তন উপরampলে ডিজাইন ট্যাব, জেনারেটেড এইচডিএল ফরম্যাটের অধীনে, শুধুমাত্র ভেরিলগ এইচডিএল উপলব্ধ। এই আইপি কোর VHDL সমর্থন করে না।
  7. টার্গেট ডেভেলপমেন্ট কিটের অধীনে স্ট্র্যাটিক্স 10 টিএক্স ই-টাইল ট্রান্সসিভার সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট বা এজিলেক্স এফ-সিরিজ ট্রান্সসিভার-এসওসি ডেভেলপমেন্ট কিট নির্বাচন করুন।
    দ্রষ্টব্য: আপনি যে ডেভেলপমেন্ট কিট নির্বাচন করেছেন তা ধাপে ডিভাইস নির্বাচন ওভাররাইট করে
    1. Intel Stratix 10 E-টাইল টার্গেট ডিভাইস হল 1SG280LU3F50E3VGS1।
    2. ইন্টেল এজিলেক্স ই-টাইল ডিভাইসের লক্ষ্য হল AGFB014R24A2E2VR0।
  8. Generate Ex-এ ক্লিক করুনampলে ডিজাইন বোতাম। প্রাক্তন নির্বাচন করুনampলে ডিজাইন ডিরেক্টরি উইন্ডো প্রদর্শিত হবে।
  9. ডিজাইন পরিবর্তন করতে চাইলে এক্সampডিফল্ট থেকে le ডিরেক্টরি পাথ বা নাম প্রদর্শিত হয় (alt_e40c3_0_example_design), নতুন পাথ ব্রাউজ করুন এবং নতুন ডিজাইন এক্স টাইপ করুনample ডিরেক্টরির নাম (ample_dir>)।
  10. ওকে ক্লিক করুন।

সম্পর্কিত তথ্য

  • আইপি কোর প্যারামিটার
    আপনার আইপি কোর কাস্টমাইজ করার বিষয়ে আরও তথ্য প্রদান করে।
  • ইন্টেল স্ট্র্যাটিক্স 10 ই-টাইল TX সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট
  • ইন্টেল এজিলেক্স এফ-সিরিজ এফপিজিএ ডেভেলপমেন্ট কিট

ডিজাইন প্রাক্তনample পরামিতি

প্রাক্তন মধ্যে পরামিতিampলে ডিজাইন ট্যাব
প্যারামিটার বর্ণনা
ডিজাইন নির্বাচন করুন উপলব্ধ প্রাক্তনampআইপি প্যারামিটার সেটিংসের জন্য লে ডিজাইন। আপনি যখন প্রিসেট লাইব্রেরি থেকে একটি নকশা নির্বাচন করেন, তখন এই ক্ষেত্রটি নির্বাচিত নকশা দেখায়।
Exampলে ডিজাইন Files দ fileবিভিন্ন উন্নয়ন পর্বের জন্য তৈরি করা।

•    সিমুলেশন- প্রয়োজনীয়তা তৈরি করে files প্রাক্তন অনুকরণের জন্যampলে ডিজাইন।

•    সংশ্লেষণ- সংশ্লেষণ তৈরি করে files এগুলো ব্যবহার করো fileহার্ডওয়্যার পরীক্ষার জন্য ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারে ডিজাইন কম্পাইল করা এবং স্ট্যাটিক টাইমিং বিশ্লেষণ করা।

তৈরি করুন File বিন্যাস RTL এর বিন্যাস fileসিমুলেশনের জন্য - ভেরিলগ বা ভিএইচডিএল।
বোর্ড নির্বাচন করুন নকশা বাস্তবায়নের জন্য সমর্থিত হার্ডওয়্যার। আপনি যখন একটি ইন্টেল ডেভেলপমেন্ট বোর্ড নির্বাচন করেন, তখন টার্গেট ডিভাইস ডেভেলপমেন্ট কিটের ডিভাইসের সাথে মেলে।

যদি এই মেনুটি উপলভ্য না থাকে, তাহলে আপনার নির্বাচন করা বিকল্পগুলির জন্য কোন সমর্থিত বোর্ড নেই।

এজিলেক্স এফ-সিরিজ ট্রান্সসিভার-এসওসি ডেভেলপমেন্ট কিট: এই বিকল্পটি আপনাকে ডিজাইন প্রাক্তন পরীক্ষা করতে দেয়ampনির্বাচিত ইন্টেল এফপিজিএ আইপি ডেভেলপমেন্ট কিটে। এই বিকল্পটি স্বয়ংক্রিয়ভাবে নির্বাচন করে টার্গেট ডিভাইস AGFB014R24A2E2VR0 এর। যদি আপনার বোর্ড রিভিশনে একটি ভিন্ন ডিভাইস গ্রেড থাকে, আপনি লক্ষ্য ডিভাইস পরিবর্তন করতে পারেন।

অব্যাহত…
প্যারামিটার বর্ণনা
  Stratix 10 TX ই-টাইল ট্রান্সসিভার সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট: এই বিকল্পটি আপনাকে ডিজাইন প্রাক্তন পরীক্ষা করতে দেয়ampনির্বাচিত ইন্টেল এফপিজিএ আইপি ডেভেলপমেন্ট কিটে। এই বিকল্পটি স্বয়ংক্রিয়ভাবে নির্বাচন করে টার্গেট ডিভাইস 1ST280EY2F55E2VG এর। যদি আপনার বোর্ড রিভিশনে একটি ভিন্ন ডিভাইস গ্রেড থাকে, আপনি লক্ষ্য ডিভাইস পরিবর্তন করতে পারেন।

কোনোটিই নয়: এই বিকল্পটি ডিজাইন প্রাক্তনের জন্য হার্ডওয়্যার দিকগুলি বাদ দেয়৷ampলে

ডিরেক্টরি কাঠামো
লো লেটেন্সি ই-টাইল 40G ইথারনেট আইপি কোর ডিজাইন প্রাক্তনample file ডিরেক্টরি নিম্নলিখিত উত্পন্ন ধারণ করে fileডিজাইন প্রাক্তন জন্য sampলে

জেনারেটেড ডিজাইনের জন্য ডিরেক্টরি কাঠামোample

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-4

  • সিমুলেশন files (শুধুমাত্র সিমুলেশনের জন্য টেস্টবেঞ্চ) অবস্থিতample_dir>/প্রাক্তনample_testbench.
  • শুধুমাত্র সংকলন প্রাক্তনampলে নকশা অবস্থিতample_dir>/ কম্পাইলেশন_টেস্ট_ডিজাইন।
  • হার্ডওয়্যার কনফিগারেশন এবং পরীক্ষা files (হার্ডওয়্যার ডিজাইন প্রাক্তনampলে) অবস্থিতample_dir>/হার্ডওয়্যার_টেস্ট_ডিজাইন

ডিরেক্টরি এবং File বর্ণনা

File নাম বর্ণনা
eth_ex_40g.qpf ইন্টেল কোয়ার্টাস প্রাইম প্রকল্প file.
eth_ex_40g.qsf ইন্টেল কোয়ার্টাস প্রাইম প্রকল্প সেটিংস file.
অব্যাহত…
File নাম বর্ণনা
eth_ex_40g.sdc সিনোপসিস* ডিজাইনের সীমাবদ্ধতা file. আপনি এটি অনুলিপি এবং পরিবর্তন করতে পারেন file আপনার নিজের লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP ডিজাইনের জন্য।
eth_ex_40g.srf ইন্টেল কোয়ার্টাস প্রাইম প্রকল্পের বার্তা দমনের নিয়ম file.
eth_ex_40g.v শীর্ষ-স্তরের ভেরিলগ এইচডিএল ডিজাইন প্রাক্তনample file.
eth_ex_40g_clock.sdc Synopsys ডিজাইন সীমাবদ্ধতা file ঘড়ির জন্য
সাধারণ/ হার্ডওয়্যার ডিজাইন প্রাক্তনample সমর্থন files.
hwtest/main.tcl প্রধান file সিস্টেম কনসোল অ্যাক্সেস করার জন্য।

নকশা অনুকরণ করা প্রাক্তনampলে টেস্টবেঞ্চ
আপনি কমান্ড প্রম্পট থেকে একটি সিমুলেশন স্ক্রিপ্ট চালানোর মাধ্যমে নকশা কম্পাইল এবং অনুকরণ করতে পারেন।

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-5

  1. কমান্ড প্রম্পটে, কাজের ডিরেক্টরি পরিবর্তন করুনample_dir>/প্রাক্তনample_testbench.
  2. আপনার পছন্দের সমর্থিত সিমুলেটরের জন্য সিমুলেশন স্ক্রিপ্ট চালান। স্ক্রিপ্ট কম্পাইল করে এবং সিমুলেটরে টেস্টবেঞ্চ চালায়

টেস্টবেঞ্চ অনুকরণ করার নির্দেশাবলী

সিমুলেটর নির্দেশনা
মডেলসিম* কমান্ড লাইনে, vsim -do run_vsim.do টাইপ করুন।

আপনি যদি মডেলসিম জিইউআই না এনে অনুকরণ করতে পছন্দ করেন তবে vsim -c -do run_vsim.do টাইপ করুন।

দ্রষ্টব্য: মডেলসিম-এই এবং মডেলসিম-এএসই সিমুলেটরগুলি এই আইপি কোরকে অনুকরণ করতে পারে না। আপনাকে অবশ্যই অন্য একটি সমর্থিত মডেলসিম সিমুলেটর ব্যবহার করতে হবে যেমন মডেলসিম এসই।

ভিসিএস* কমান্ড লাইনে, sh run_vcs.sh টাইপ করুন
ভিসিএস এমএক্স কমান্ড লাইনে, sh run_vcsmx.sh টাইপ করুন।

ডিজাইনে ভেরিলগ এইচডিএল এবং ভিএইচডিএল সহ সিস্টেম ভেরিলগ থাকলে এই স্ক্রিপ্টটি ব্যবহার করুন।

NCSim কমান্ড লাইনে, sh run_ncsim.sh টাইপ করুন
এক্সেলিয়াম* কমান্ড লাইনে, sh run_xcelium.sh টাইপ করুন

একটি সফল সিমুলেশন নিম্নলিখিত বার্তা দিয়ে শেষ হয়: সিমুলেশন পাস। অথবা টেস্টবেঞ্চ সম্পূর্ণ। সফল সমাপ্তির পরে, আপনি ফলাফল বিশ্লেষণ করতে পারেন।

ডিজাইন কম্পাইল এবং কনফিগার করা Exampহার্ডওয়্যারে লে
ইন্টেল এফপিজিএ আইপি কোর প্যারামিটার এডিটর আপনাকে ডিজাইন এক্স কম্পাইল এবং কনফিগার করতে দেয়ampএকটি লক্ষ্য উন্নয়ন কিট উপর

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-6

একটি ডিজাইন কম্পাইল এবং কনফিগার করতে প্রাক্তনampহার্ডওয়্যারে, এই পদক্ষেপগুলি অনুসরণ করুন:

  1. ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যারটি চালু করুন এবং নকশাটি কম্পাইল করতে প্রক্রিয়াকরণ ➤ সংকলন শুরু করুন নির্বাচন করুন।
  2. আপনি একটি SRAM অবজেক্ট তৈরি করার পরে file .sof, হার্ডওয়্যার ডিজাইন প্রাক্তন প্রোগ্রাম করতে এই পদক্ষেপগুলি অনুসরণ করুনampইন্টেল ডিভাইসে:
    1. টুল ➤ প্রোগ্রামার নির্বাচন করুন।
    2. প্রোগ্রামারে, হার্ডওয়্যার সেটআপে ক্লিক করুন।
    3. একটি প্রোগ্রামিং ডিভাইস নির্বাচন করুন।
    4. আপনার ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সেশনে Intel TX বোর্ড নির্বাচন করুন এবং যোগ করুন।
    5. নিশ্চিত করুন যে মোড জে সেট করা আছেTAG.
    6. ইন্টেল ডিভাইস নির্বাচন করুন এবং ডিভাইস যোগ করুন ক্লিক করুন। প্রোগ্রামার আপনার বোর্ডে থাকা ডিভাইসগুলির মধ্যে সংযোগগুলির একটি ব্লক ডায়াগ্রাম প্রদর্শন করে।
    7. আপনার .sof-এর সাথে সারিতে, .sof-এর জন্য বক্সটি চেক করুন৷
    8. .sof-এর জন্য প্রোগ্রাম/কনফিগার বিকল্পটি চালু করুন।
    9. স্টার্ট ক্লিক করুন।

সম্পর্কিত তথ্য

  • হায়ারার্কিক্যাল এবং টিম-ভিত্তিক ডিজাইনের জন্য ক্রমবর্ধমান সংকলন
  • প্রোগ্রামিং ইন্টেল FPGA ডিভাইস

হার্ডওয়্যার ডিজাইনে টার্গেট ডিভাইস পরিবর্তন করাample
আপনি যদি আপনার টার্গেট ডিভাইস হিসাবে Stratix 10 TX ই-টাইল ট্রান্সসিভার সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট নির্বাচন করে থাকেন, তাহলে লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP কোর একটি হার্ডওয়্যার তৈরি করেampলক্ষ্য ডিভাইস 1ST280EY2F55E2VG জন্য le ডিজাইন. আপনি যদি আপনার টার্গেট ডিভাইস হিসাবে Agilex F-series Transceiver-SoC ডেভেলপমেন্ট কিট নির্বাচন করে থাকেন, তাহলে লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP কোর একটি হার্ডওয়্যার তৈরি করেampলক্ষ্য ডিভাইস AGFB014R24A2E2VR0 জন্য le ডিজাইন. নির্দিষ্ট লক্ষ্য ডিভাইস আপনার ডেভেলপমেন্ট কিট ডিভাইস থেকে পৃথক হতে পারে. আপনার হার্ডওয়্যার ডিজাইনে টার্গেট ডিভাইস পরিবর্তন করতে প্রাক্তনampলে, এই পদক্ষেপগুলি অনুসরণ করুন:

  1. ইন্টেল কোয়ার্টাস প্রাইম প্রো সংস্করণ সফ্টওয়্যার চালু করুন এবং হার্ডওয়্যার পরীক্ষা প্রকল্প খুলুন file /hardware_test_design/eth_ex_40g.qpf.
  2. অ্যাসাইনমেন্ট মেনুতে, ডিভাইসে ক্লিক করুন। ডিভাইস ডায়ালগ বক্স প্রদর্শিত হবে।
  3. ডিভাইস ডায়ালগ বক্সে, একটি ই-টাইল ভিত্তিক টার্গেট ডিভাইস টেবিল নির্বাচন করুন যা আপনার ডেভেলপমেন্ট কিটের ডিভাইসের অংশ নম্বরের সাথে মেলে। ইন্টেলের উন্নয়ন কিট লিঙ্কটি পড়ুন webআরো তথ্যের জন্য সাইট।
  4. আপনি একটি ডিভাইস নির্বাচন করার সময় একটি প্রম্পট প্রদর্শিত হবে, যেমনটি নীচের চিত্রে দেখানো হয়েছে। জেনারেট করা পিন অ্যাসাইনমেন্ট এবং I/O অ্যাসাইনমেন্ট সংরক্ষণ করতে না নির্বাচন করুন।
    ডিভাইস নির্বাচনের জন্য ইন্টেল কোয়ার্টাস প্রাইম প্রম্পটলো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-7
  5. আপনার নকশা সম্পূর্ণ সংকলন সঞ্চালন.

আপনি এখন আপনার হার্ডওয়্যারে নকশা পরীক্ষা করতে পারেন.

সম্পর্কিত তথ্য

  • ইন্টেল স্ট্র্যাটিক্স 10 ই-টাইল TX সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট
  • ইন্টেল এজিলেক্স এফ-সিরিজ এফপিজিএ ডেভেলপমেন্ট কিট

হার্ডওয়্যারে কম লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইন পরীক্ষা করা হচ্ছে
আপনি কম লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP কোর ডিজাইন কম্পাইল করার পরেample এবং আপনার ইন্টেল ডিভাইসে এটি কনফিগার করুন, আপনি IP কোর এবং এর এমবেডেড নেটিভ PHY IP কোর রেজিস্টার প্রোগ্রাম করতে সিস্টেম কনসোল ব্যবহার করতে পারেন। সিস্টেম কনসোল চালু করতে এবং হার্ডওয়্যার ডিজাইন পরীক্ষা করতে এক্সampলে, এই পদক্ষেপগুলি অনুসরণ করুন:

  1. Intel Quartus Prime Pro Edition সফ্টওয়্যারটিতে, সিস্টেম কনসোল চালু করতে টুল ➤ সিস্টেম ডিবাগিং টুল ➤ সিস্টেম কনসোল নির্বাচন করুন।
  2. Tcl কনসোল প্যানে, /hardware_test_design/hwtest-এ ডিরেক্টরি পরিবর্তন করতে cd hwtest টাইপ করুন।
  3. J-এর সাথে সংযোগ খুলতে source main.tcl টাইপ করুনTAG মাস্টার

অতিরিক্ত নকশা প্রাক্তনampআইপি কোর প্রোগ্রাম করতে le কমান্ড উপলব্ধ:

  • chkphy_status: ঘড়ির ফ্রিকোয়েন্সি এবং PHY লক অবস্থা প্রদর্শন করে।
  • chkmac_stats: MAC পরিসংখ্যান কাউন্টারে মান প্রদর্শন করে।
  • পরিস্কার_সমস্ত_পরিসংখ্যান: আইপি মূল পরিসংখ্যান কাউন্টারগুলি সাফ করে।
  • start_pkt_gen: প্যাকেট জেনারেটর শুরু করে।
  • stop_pkt_gen: প্যাকেট জেনারেটর বন্ধ করে দেয়।
  • sys_reset_digital_analog: সিস্টেম রিসেট।
  • loop_on: অভ্যন্তরীণ সিরিয়াল লুপব্যাক চালু করে
  • লুপ_অফ: অভ্যন্তরীণ সিরিয়াল লুপব্যাক বন্ধ করে।
  • reg_read : এ আইপি কোর রেজিস্টার মান প্রদান করে .
  • reg_write : লেখে ঠিকানায় আইপি কোর রেজিস্টারে .

ডিজাইন এক্সের হার্ডওয়্যার টেস্টিং বিভাগে পরীক্ষা পদ্ধতি অনুসরণ করুনample এবং সিস্টেম কনসোলে পরীক্ষার ফলাফল পর্যবেক্ষণ করুন।

সম্পর্কিত তথ্য
সিস্টেম কনসোল দিয়ে ডিজাইন বিশ্লেষণ এবং ডিবাগিং

ডিজাইন প্রাক্তনampলে বর্ণনা

ই-টাইল ভিত্তিক 40G ইথারনেট ডিজাইন প্রাক্তনampIEEE 40ba স্ট্যান্ডার্ড CAUI-802.3 স্পেসিফিকেশনের সাথে সঙ্গতিপূর্ণ ই-টাইল ভিত্তিক ট্রান্সসিভার ইন্টারফেস সহ, লো লেটেন্সি ই-টাইল 4G ইথারনেট ইন্টেল এফপিজিএ আইপি কোরের কার্যাবলী প্রদর্শন করে। আপনি এক্স থেকে ডিজাইন তৈরি করতে পারেনampলো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল এফপিজিএ আইপি প্যারামিটার এডিটরে ডিজাইন ট্যাব।
ডিজাইন তৈরি করতে প্রাক্তনampলে, আপনি আপনার শেষ পণ্য তৈরি করতে চান আইপি কোর বৈচিত্র্যের জন্য আপনাকে প্রথমে প্যারামিটার মান সেট করতে হবে। ডিজাইন তৈরি করা হচ্ছে প্রাক্তনample আইপি কোরের একটি অনুলিপি তৈরি করে; টেস্টবেঞ্চ এবং হার্ডওয়্যার ডিজাইন প্রাক্তনampএই বৈচিত্রটি DUT হিসাবে ব্যবহার করুন। আপনি যদি আপনার শেষ পণ্যের প্যারামিটারের মানগুলির সাথে মেলে DUT-এর জন্য প্যারামিটারের মানগুলি সেট না করেন, ডিজাইন প্রাক্তনampআপনি যে আইপি কোর ভ্যারিয়েশন চান তা ব্যবহার করে না।

দ্রষ্টব্য:
টেস্টবেঞ্চ আইপি কোরের একটি মৌলিক পরীক্ষা প্রদর্শন করে। এটি একটি সম্পূর্ণ যাচাইকরণ পরিবেশের বিকল্প হওয়ার উদ্দেশ্যে নয়৷ সিমুলেশন এবং হার্ডওয়্যারে আপনার নিজের লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইনের আরও ব্যাপক যাচাইকরণ করতে হবে।

বৈশিষ্ট্য
  • Intel Stratix 40 বা Intel Agilex ডিভাইস ব্যবহার করে ই-টাইল ট্রান্সসিভারের জন্য 10G ইথারনেট MAC/PCS IP কোর সমর্থন করে।
  • প্রস্তাবনা পাস-থ্রু এবং লিঙ্ক প্রশিক্ষণ সমর্থন করে।
  • ডিজাইন প্রাক্তন তৈরি করেampম্যাক পরিসংখ্যান কাউন্টার বৈশিষ্ট্য সহ le.
  • টেস্টবেঞ্চ এবং সিমুলেশন স্ক্রিপ্ট প্রদান করে।

হার্ডওয়্যার এবং সফ্টওয়্যার প্রয়োজনীয়তা
প্রাক্তন পরীক্ষা করতেampলে ডিজাইন, নিম্নলিখিত হার্ডওয়্যার এবং সফ্টওয়্যার ব্যবহার করুন:

  • ইন্টেল কোয়ার্টাস প্রাইম প্রো এডিশন সফটওয়্যার
  • সিস্টেম কনসোল
  • মডেলসিম, ভিসিএস, ভিসিএস এমএক্স, এনসিএসিম, বা এক্সসেলিয়াম সিমুলেটর
  • ইন্টেল স্ট্র্যাটিক্স 10 টিএক্স ই-টাইল ট্রান্সসিভার সিগন্যাল ইন্টিগ্রিটি ডেভেলপমেন্ট কিট বা ইন্টেল এজিলেক্স এফ-সিরিজ ট্রান্সসিভার-এসওসি ডেভেলপমেন্ট কিট

কার্যকরী বর্ণনা
এই বিভাগটি ই-টাইল ভিত্তিক ট্রান্সসিভারে ইন্টেল ডিভাইস ব্যবহার করে 40G ইথারনেট MAC/PCS IP কোর বর্ণনা করে। ট্রান্সমিট ডিরেকশনে, MAC ক্লায়েন্ট ফ্রেম গ্রহণ করে এবং ইন্টার-প্যাকেট গ্যাপ (IPG), প্রস্তাবনা, স্টার্ট অফ ফ্রেম ডিলিমিটার (SFD), প্যাডিং এবং CRC বিটগুলি PHY-তে পাঠানোর আগে সন্নিবেশিত করে। PHY দূরবর্তী প্রান্তে মিডিয়ার মাধ্যমে নির্ভরযোগ্য সংক্রমণের জন্য প্রয়োজনীয় MAC ফ্রেমটিকে এনকোড করে। প্রাপ্তির দিক থেকে, PHY ফ্রেমগুলি MAC-তে পাস করে। MAC PHY থেকে ফ্রেম গ্রহণ করে, চেক করে, CRC, প্রস্তাবনা এবং SFD বের করে দেয় এবং বাকি ফ্রেম ক্লায়েন্টের কাছে দেয়।

সিমুলেশন

টেস্টবেঞ্চ আইপি কোরের মাধ্যমে ট্রাফিক পাঠায়, আইপি কোরের ট্রান্সমিট সাইড এবং রিসিভ সাইড ব্যায়াম করে।

কম লেটেন্সি ই-টাইল 40G ইথারনেট ডিজাইন এক্সampলে ব্লক ডায়াগ্রাম

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-8

সিমুলেশন ডিজাইন প্রাক্তনampউচ্চ-স্তরের পরীক্ষা file মৌলিক_avl_tb_top.sv. এই file PHY-তে 156.25 Mhz-এর একটি ঘড়ির রেফারেন্স clk_ref প্রদান করে। এতে 10টি প্যাকেট পাঠানো এবং গ্রহণ করার একটি টাস্ক রয়েছে।

কম লেটেন্সি ই-টাইল 40G ইথারনেট কোর টেস্টবেঞ্চ File বর্ণনা

File নাম বর্ণনা
টেস্টবেঞ্চ এবং সিমুলেশন Files
মৌলিক_avl_tb_top.sv টপ লেভেল টেস্টবেঞ্চ file. টেস্টবেঞ্চ ডিইউটি ইনস্ট্যান্টিয়েট করে এবং প্যাকেট তৈরি এবং গ্রহণ করার জন্য ভেরিলগ এইচডিএল কাজ চালায়।
মৌলিক_avl_tb_top_nc.sv টপ লেভেল টেস্টবেঞ্চ file NCSim সিমুলেটরের সাথে সামঞ্জস্যপূর্ণ।
মৌলিক_avl_tb_top_msim.sv টপ লেভেল টেস্টবেঞ্চ file মডেলসিম সিমুলেটরের সাথে সামঞ্জস্যপূর্ণ।
টেস্টবেঞ্চ স্ক্রিপ্ট
run_vsim.do মেন্টর গ্রাফিক্স* মডেলসিম স্ক্রিপ্ট টেস্টবেঞ্চ চালানোর জন্য।
run_vcs.sh টেস্টবেঞ্চ চালানোর জন্য Synopsys VCS স্ক্রিপ্ট।
অব্যাহত…
File নাম বর্ণনা
run_vcsmx.sh টেস্টবেঞ্চ চালানোর জন্য Synopsys VCS MX স্ক্রিপ্ট (ভিএইচডিএল-এর সাথে ভেরিলগ এইচডিএল এবং সিস্টেম ভেরিলগ একত্রিত)।
run_ncsim.sh টেস্টবেঞ্চ চালানোর জন্য Cadence NCSim স্ক্রিপ্ট।
run_xcelium.sh টেস্টবেঞ্চ চালানোর জন্য Cadence Xcelium স্ক্রিপ্ট।

সফল পরীক্ষা চালানো নিম্নলিখিত আচরণ নিশ্চিত করে আউটপুট প্রদর্শন করে:

  1. RX ঘড়ি স্থির হওয়ার জন্য অপেক্ষা করা হচ্ছে
  2. PHY স্ট্যাটাস প্রিন্ট করা হচ্ছে
  3. 10 প্যাকেট পাঠানো হচ্ছে
  4. 10 প্যাকেট গ্রহণ
  5. "টেস্টবেঞ্চ সম্পূর্ণ" দেখানো হচ্ছে।

নিম্নলিখিত এসample আউটপুট একটি সফল সিমুলেশন পরীক্ষা চালানোর চিত্র তুলে ধরে:

  • # RX প্রান্তিককরণের জন্য অপেক্ষা করা হচ্ছে
  • #RX ডেস্কউ লক করা আছে
  • #RX লেনের প্রান্তিককরণ লক করা হয়েছে
  • #TX সক্ষম
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #** গৃহীত প্যাকেট 1...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #** গৃহীত প্যাকেট 2...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #** গৃহীত প্যাকেট 3...
  • #**প্যাকেট ১ পাঠানো হচ্ছে...
  • #** গৃহীত প্যাকেট 4...
  • #** গৃহীত প্যাকেট 5...
  • #** গৃহীত প্যাকেট 6...
  • #** গৃহীত প্যাকেট 7...
  • #** গৃহীত প্যাকেট 8...
  • #** গৃহীত প্যাকেট 9...
  • #** গৃহীত প্যাকেট 10...

সম্পর্কিত তথ্য
নকশা অনুকরণ করা প্রাক্তনampলে টেস্টবেঞ্চ পৃষ্ঠা ৭

হার্ডওয়্যার পরীক্ষা
হার্ডওয়্যার ডিজাইনে প্রাক্তনampলে, আপনি অভ্যন্তরীণ সিরিয়াল লুপব্যাক মোডে আইপি কোর প্রোগ্রাম করতে পারেন এবং ট্রান্সমিট সাইডে ট্রাফিক জেনারেট করতে পারেন যা রিসিভ সাইড দিয়ে লুপ ব্যাক করে।

লো লেটেন্সি ই-টাইল 40G ইথারনেট আইপি হার্ডওয়্যার ডিজাইন এক্সampউচ্চ স্তরের ব্লক ডায়াগ্রাম

লো-লেটেন্সি-ই-টাইল-40G-ইথারনেট-ইন্টেল-এফপিজিএ-আইপি-ডিজাইন-এক্সample-FIG-9

লো লেটেন্সি ই-টাইল 40G ইথারনেট হার্ডওয়্যার ডিজাইন প্রাক্তনample নিম্নলিখিত উপাদানগুলি অন্তর্ভুক্ত করে:

  • কম লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA IP কোর।
  • ক্লায়েন্ট লজিক যা আইপি কোরের প্রোগ্রামিং এবং প্যাকেট জেনারেশন এবং চেকিং সমন্বয় করে।
  • IOPLL একটি 100 MHz ইনপুট ঘড়ি থেকে হার্ডওয়্যার ডিজাইনে 50 MHz ঘড়ি তৈরি করবেampলে
  • JTAG কন্ট্রোলার যা ইন্টেল সিস্টেম কনসোলের সাথে যোগাযোগ করে। আপনি সিস্টেম কনসোলের মাধ্যমে ক্লায়েন্ট লজিকের সাথে যোগাযোগ করেন।

ডিজাইন প্রাক্তন পরীক্ষা করার জন্য প্রদত্ত সম্পর্কিত তথ্য লিঙ্কে পদ্ধতি অনুসরণ করুনampনির্বাচিত হার্ডওয়্যারে লে.

সম্পর্কিত তথ্য

  • 40 পৃষ্ঠায় হার্ডওয়্যারে কম লেটেন্সি ই-টাইল 9G ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইন পরীক্ষা করা হচ্ছে
  • সিস্টেম কনসোল দিয়ে ডিজাইন বিশ্লেষণ এবং ডিবাগিং

অভ্যন্তরীণ লুপব্যাক পরীক্ষা
অভ্যন্তরীণ লুপব্যাক পরীক্ষা সম্পাদন করতে এই পদক্ষেপগুলি চালান:

  1. সিস্টেম রিসেট করুন।
    sys_reset_digital_analog
  2. ঘড়ির ফ্রিকোয়েন্সি এবং PHY অবস্থা প্রদর্শন করুন।
    chkphy_status
  3. অভ্যন্তরীণ লুপব্যাক পরীক্ষা চালু করুন।
    loop_on
  4. ঘড়ির ফ্রিকোয়েন্সি এবং PHY অবস্থা প্রদর্শন করুন। rx_clk 312.5 MHz এ সেট করা হয়েছে এবং
    rx_pcs_ready 1 এ সেট করা হয়েছে।
    chkphy_status
  5. প্যাকেট জেনারেটর শুরু করুন।
    start_pkt_gen
  6. প্যাকেট জেনারেটর বন্ধ করুন।
    stop_pkt_gen
  7. Review প্রেরিত এবং প্রাপ্ত প্যাকেটের সংখ্যা।
    chkmac_stats
  8. অভ্যন্তরীণ লুপব্যাক পরীক্ষা বন্ধ করুন।
    লুপ_অফ

বাহ্যিক লুপব্যাক পরীক্ষা
বাহ্যিক লুপব্যাক পরীক্ষা সম্পাদন করতে এই পদক্ষেপগুলি চালান:

  1. সিস্টেম রিসেট করুন।
    sys_reset_digital_analog
  2. ঘড়ির ফ্রিকোয়েন্সি এবং PHY অবস্থা প্রদর্শন করুন। rx_clk 312.5 MHz এ সেট করা হয়েছে এবং
    rx_pcs_ready 1 এ সেট করা হয়েছে। chkphy_status
  3. প্যাকেট জেনারেটর শুরু করুন।
    start_pkt_gen
  4. প্যাকেট জেনারেটর বন্ধ করুন।
    stop_pkt_gen
  5. Review প্রেরিত এবং প্রাপ্ত প্যাকেটের সংখ্যা।
    chkmac_stats
কম লেটেন্সি ই-টাইল 40G ইথারনেট ডিজাইন এক্সampলে রেজিস্টার

কম লেটেন্সি ই-টাইল 40G ইথারনেট হার্ডওয়্যার ডিজাইন এক্সample নিবন্ধন মানচিত্র
হার্ডওয়্যার ডিজাইন প্রাক্তনের জন্য মেমরি ম্যাপ করা রেজিস্টার রেঞ্জের তালিকা করেampলে আপনি সিস্টেম কনসোলে reg_read এবং reg_write ফাংশনগুলির সাথে এই নিবন্ধগুলি অ্যাক্সেস করতে পারেন।

শব্দ অফসেট রেজিস্টার টাইপ
0x300-0x3FF PHY রেজিস্টার
0x400-0x4FF TX MAC নিবন্ধন
0x500-0x5FF RX MAC নিবন্ধন করে
0x800-0x8FF পরিসংখ্যান কাউন্টার রেজিস্টার - TX দিক
0x900-0x9FF পরিসংখ্যান কাউন্টার রেজিস্টার - RX দিক
0x1000-1016 প্যাকেট ক্লায়েন্ট নিবন্ধন

প্যাকেট ক্লায়েন্ট রেজিস্টার
আপনি লো লেটেন্সি ই-টাইল 40G ইথারনেট হার্ডওয়্যার ডিজাইন প্রাক্তন কাস্টমাইজ করতে পারেনampক্লায়েন্ট রেজিস্টার প্রোগ্রামিং দ্বারা.

ঠি নাম বিট বর্ণনা HW রিসেট মান অ্যাক্সেস
0x1008 প্যাকেট সাইজ কনফিগার করুন [০৯:২৫] বাইটে ট্রান্সমিট প্যাকেটের আকার নির্দিষ্ট করুন। এই বিটগুলির PKT_GEN_TX_CTRL রেজিস্টারে নির্ভরতা রয়েছে৷

• বিট [২৯:১৬]: বাইটে প্যাকেটের আকারের উপরের সীমা নির্দিষ্ট করুন। এটি শুধুমাত্র ইনক্রিমেন্টাল মোডে প্রযোজ্য।

• বিট [১৩:০]:

— ফিক্সড মোডের জন্য, এই বিটগুলি বাইটে ট্রান্সমিট প্যাকেটের আকার নির্দিষ্ট করে।

— ইনক্রিমেন্টাল মোডের জন্য, এই বিটগুলি একটি প্যাকেটের জন্য ইনক্রিমেন্টাল বাইটগুলি নির্দিষ্ট করে।

0x25800040 RW
0x1009 প্যাকেট নম্বর নিয়ন্ত্রণ [০৯:২৫] প্যাকেট জেনারেটর থেকে প্রেরণ করার জন্য প্যাকেটের সংখ্যা উল্লেখ করুন। 0xA RW
0x1010 PKT_GEN_TX_C TRL [০৯:২৫] • বিট [0]: সংরক্ষিত।

• বিট [১]: প্যাকেট জেনারেটর বিট নিষ্ক্রিয় করে। প্যাকেট জেনারেটর বন্ধ করতে এই বিটটিকে 1 এর মান সেট করুন এবং প্যাকেট জেনারেটর চালু করতে এটি 1 এর মানতে পুনরায় সেট করুন।

• বিট [2]: সংরক্ষিত।

• বিট [৩]: আইপি কোর যদি MAC লুপব্যাক মোডে থাকে তবে 3 এর মান আছে; যদি প্যাকেট ক্লায়েন্ট প্যাকেট জেনারেটর ব্যবহার করে তাহলে এর মান 1 থাকে।

0x6 RW
অব্যাহত…
ঠি নাম বিট বর্ণনা HW রিসেট মান অ্যাক্সেস
      • বিট [১৩:০]:

- 00: র্যান্ডম মোড

— 01: স্থির মোড

— 10: ইনক্রিমেন্টাল মোড

• বিট [৬]: ট্রান্সমিট করার জন্য নির্দিষ্ট সংখ্যক প্যাকেটের ভিত্তিতে প্যাকেট জেনারেটর বন্ধ করতে 6x1 রেজিস্টার ব্যবহার করতে এই বিটটিকে 0 এ সেট করুন। অন্যথায়, PKT_GEN_TX_CTRL রেজিস্টারের বিট [1009] প্যাকেট জেনারেটর বন্ধ করতে ব্যবহৃত হয়।

• বিট [৭]:

— 1: প্যাকেটের মধ্যে ফাঁক ছাড়া সংক্রমণের জন্য।

— 0: প্যাকেটের মধ্যে এলোমেলো ফাঁক দিয়ে সংক্রমণের জন্য।

   
0x1011 গন্তব্য ঠিকানা 32 বিট কম [০৯:২৫] গন্তব্য ঠিকানা (নিম্ন 32 বিট) 0x56780ADD RW
0x1012 গন্তব্য ঠিকানা উপরের 16 বিট [০৯:২৫] গন্তব্য ঠিকানা (উপরের 16 বিট) 0x1234 RW
0x1013 উৎস ঠিকানা 32 বিট কম [০৯:২৫] উৎস ঠিকানা (নিম্ন 32 বিট) 0x43210ADD RW
0x1014 সোর্স অ্যাড্রেস উপরের 16 বিট [০৯:২৫] উৎস ঠিকানা (উপরের 16 বিট) 0x8765 RW
0x1016 PKT_CL_LOOPB ACK_RESET [১] MAC লুপব্যাক রিসেট। ডিজাইন এক্স রিসেট করতে 1 এর মান সেট করুনampম্যাক লুপব্যাক। 1'b0 RW

সম্পর্কিত তথ্য
লো লেটেন্সি ই-টাইল 40G ইথারনেট কন্ট্রোল এবং স্ট্যাটাস রেজিস্টার বর্ণনা কম লেটেন্সি ই-টাইল 40G ইথারনেট আইপি কোর রেজিস্টার বর্ণনা করে।

ডিজাইন প্রাক্তনampলে ইন্টারফেস সংকেত
লো লেটেন্সি ই-টাইল 40G ইথারনেট টেস্টবেঞ্চ স্বয়ংসম্পূর্ণ এবং এর জন্য আপনাকে কোনো ইনপুট সিগন্যাল চালানোর প্রয়োজন নেই।

কম লেটেন্সি ই-টাইল 40G ইথারনেট হার্ডওয়্যার ডিজাইন এক্সampলে ইন্টারফেস সংকেত

সংকেত দিকনির্দেশনা মন্তব্য
 

 

clk50

 

 

ইনপুট

এই ঘড়িটি বোর্ড অসিলেটর দ্বারা চালিত হয়।

• Intel Stratix 50 বোর্ডে 10 MHz এ ড্রাইভ করুন।

• Intel Agilex বোর্ডে 100 MHz এ ড্রাইভ করুন।

হার্ডওয়্যার ডিজাইন প্রাক্তনample এই ঘড়িটিকে ডিভাইসে একটি IOPLL এর ইনপুটে রুট করে এবং অভ্যন্তরীণভাবে 100 MHz ঘড়ি চালানোর জন্য IOPLL কনফিগার করে।

clk_ref ইনপুট 156.25 MHz এ ড্রাইভ করুন।
অব্যাহত…
সংকেত দিকনির্দেশনা মন্তব্য
 

cpu_resetn

 

ইনপুট

আইপি কোর রিসেট করুন। সক্রিয় কম। আইপি কোরে গ্লোবাল হার্ড রিসেট csr_reset_n ড্রাইভ করে।
tx_serial[3:0] আউটপুট ট্রান্সসিভার PHY আউটপুট সিরিয়াল ডেটা।
rx_serial[3:0] ইনপুট ট্রান্সসিভার PHY ইনপুট সিরিয়াল ডেটা।
 

 

 

 

 

user_led[7:0]

 

 

 

 

 

আউটপুট

স্থিতি সংকেত। হার্ডওয়্যার ডিজাইন প্রাক্তনample এই বিটগুলিকে টার্গেট বোর্ডে LED চালাতে সংযোগ করে। স্বতন্ত্র বিট নিম্নলিখিত সংকেত মান এবং ঘড়ি আচরণ প্রতিফলিত করে:

• [০]: আইপি কোরে প্রধান রিসেট সংকেত

• [১]: clk_ref এর বিভক্ত সংস্করণ

• [২]: clk2 এর বিভক্ত সংস্করণ

• [৩]: 3 MHz স্ট্যাটাস ক্লকের বিভক্ত সংস্করণ

• [৪]: tx_lanes_stable

• [৫]: rx_block_lock

• [6]: rx_am_lock

• [৭]: rx_pcs_ready

সম্পর্কিত তথ্য
ইন্টারফেস এবং সিগন্যাল বর্ণনা নিম্ন লেটেন্সি ই-টাইল 40G ইথারনেট আইপি কোর সিগন্যাল এবং সেগুলির অন্তর্গত ইন্টারফেসের বিস্তারিত বিবরণ প্রদান করে।

কম লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল FPGA আইপি আর্কাইভস
যদি একটি আইপি কোর সংস্করণ তালিকাভুক্ত না হয়, তবে পূর্ববর্তী আইপি কোর সংস্করণের জন্য ব্যবহারকারীর নির্দেশিকা প্রযোজ্য।

ইন্টেল কোয়ার্টাস প্রাইম সংস্করণ আইপি কোর সংস্করণ ব্যবহারকারীর নির্দেশিকা
20.1 19.1.0 কম লেটেন্সি ই-টাইল 40G ইথারনেট ডিজাইন এক্সampব্যবহারকারীর নির্দেশিকা

লো লেটেন্সি ই-টাইল 40G ইথারনেট ডিজাইনের জন্য ডকুমেন্ট রিভিশন ইতিহাসampব্যবহারকারীর নির্দেশিকা

নথি সংস্করণ ইন্টেল কোয়ার্টাস প্রাইম সংস্করণ আইপি সংস্করণ পরিবর্তন
2020.06.22 20.2 20.0.0 Intel Agilex ডিভাইসের জন্য ডিভাইস সমর্থন যোগ করা হয়েছে।
2020.04.13 20.1 19.1.0 প্রাথমিক রিলিজ।

ইন্টেল কর্পোরেশন। সমস্ত অধিকার সংরক্ষিত. ইন্টেল, ইন্টেল লোগো এবং অন্যান্য ইন্টেল চিহ্নগুলি হল ইন্টেল কর্পোরেশন বা এর সহযোগী সংস্থাগুলির ট্রেডমার্ক৷ ইন্টেল তার এফপিজিএ এবং সেমিকন্ডাক্টর পণ্যগুলির কার্যকারিতাকে ইন্টেলের স্ট্যান্ডার্ড ওয়ারেন্টি অনুসারে বর্তমান স্পেসিফিকেশনের জন্য ওয়ারেন্টি দেয়, তবে নোটিশ ছাড়াই যে কোনও সময় যে কোনও পণ্য এবং পরিষেবাতে পরিবর্তন করার অধিকার সংরক্ষণ করে। ইন্টেল এখানে বর্ণিত কোনো তথ্য, পণ্য, বা পরিষেবার প্রয়োগ বা ব্যবহারের ফলে উদ্ভূত কোনো দায় বা দায়ভার গ্রহণ করে না, যা Intel দ্বারা লিখিতভাবে স্পষ্টভাবে সম্মত হয়েছে। Intel গ্রাহকদের কোনো প্রকাশিত তথ্যের উপর নির্ভর করার আগে এবং পণ্য বা পরিষেবার জন্য অর্ডার দেওয়ার আগে ডিভাইসের স্পেসিফিকেশনের সর্বশেষ সংস্করণ পেতে পরামর্শ দেওয়া হয়। অন্যান্য নাম এবং ব্র্যান্ডগুলি অন্যের সম্পত্তি হিসাবে দাবি করা যেতে পারে।

দলিল/সম্পদ

ইন্টেল লো লেটেন্সি ই-টাইল 40জি ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইন এক্সample [পিডিএফ] ব্যবহারকারীর নির্দেশিকা
লো লেটেন্সি ই-টাইল 40G ইথারনেট ইন্টেল এফপিজিএ আইপি ডিজাইন এক্সample, লো লেটেন্সি, ই-টাইল 40G ইথারনেট ইন্টেল FPGA আইপি ডিজাইন এক্সampলে, ইন্টেল এফপিজিএ আইপি ডিজাইন এক্সampলে, আইপি ডিজাইন প্রাক্তনample

তথ্যসূত্র

একটি মন্তব্য করুন

আপনার ইমেল ঠিকানা প্রকাশ করা হবে না. প্রয়োজনীয় ক্ষেত্রগুলি চিহ্নিত করা হয়েছে *